Welcome![Sign In][Sign Up]
Location:
Search - vhdl i2c

Search list

[Other resourceI2C控制核

Description: I2C控制核设计,由VHDL语言编写,使普通I/O端口实现I2C性能-I2C control of nuclear design, VHDL language, I / O ports I2C Performance
Platform: | Size: 733817 | Author: 韦伯 | Hits:

[Embeded-SCM DevelopI2C VHDL原代码

Description: I2C的VHDL原码,给大家了.
Platform: | Size: 889678 | Author: tzliuli2000@163.com | Hits:

[VHDL-FPGA-Verilogi2c程序

Description:
Platform: | Size: 9318 | Author: shenzhijuan1989 | Hits:

[SourceCodeI2C Slaver

Description: 收发都支持 VHDL代码 已经过实际验证
Platform: | Size: 2120 | Author: liu_tiequan@126.com | Hits:

[VHDL-FPGA-Verilogi2cdesign

Description: 这是我做的I2C的vhdl程序和仿真和下载文件,请指教!!!!1!1-This is what I do I2C procedures and the VHDL simulation and download files, please advise! ! ! ! 1! 1
Platform: | Size: 696320 | Author: xdg | Hits:

[SCMMSP430_i2c

Description: 用C写的I2C总线EEPROM读写程序,在MSP430+AT24C64~512平台上验证通过。对其他处理器只需简单修改即可使用。-C write I2C EEPROM read and write procedures, the AT24C64 MSP430+ ~ 512 platform validation through. The only other processor can use a simple amendment.
Platform: | Size: 1024 | Author: join | Hits:

[VHDL-FPGA-Verilogi2c_master_bit_ctrl0002

Description: 基于VHDL的I2C程序0002,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0002, a very good paper and procedures, we quickly under ah
Platform: | Size: 4096 | Author: cai | Hits:

[VHDL-FPGA-Verilogi2c_master_byte_ctrl0003

Description: 基于VHDL的I2C程序0003,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0003, a very good paper and procedures, we quickly under ah
Platform: | Size: 3072 | Author: cai | Hits:

[VHDL-FPGA-Verilogtst_ds162100005

Description: 基于VHDL的I2C程序0005,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0005, a very good paper and procedures, we quickly under ah
Platform: | Size: 2048 | Author: cai | Hits:

[VHDL-FPGA-VerilogVHDL_Development_Board_Sources

Description: 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development of the plate diagram, You hope to be a good help! which states : eight priority encoder, multipliers, multi-path selectors, BCD binary switch, adder, subtraction device, the simple state machine, four comparators, seven of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng, traffic lights, Digital Clock.
Platform: | Size: 4642816 | Author: Jawen | Hits:

[VHDL-FPGA-VerilogCpldandEepromI2c

Description: verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
Platform: | Size: 447488 | Author: 丁明 | Hits:

[OtherI2CbusVHDLVerilogHDL

Description: i2c总线verilog源代码 ,包括测试模块-i2c Bus verilog source code, including testing module
Platform: | Size: 509952 | Author: 张云凤 | Hits:

[Education soft system000000adada2

Description: 数据结构,二叉树和哈夫曼编码。C++ 1、 学会针对DFA转换图实现相应的高级语言源程序 ·a C++ Class Library of Cr ·简单的防火墙,可以用来学习,作为毕业课设也相当有帮 ·实现ARM 芯片的一对PWM 输出用于控制直流电机 ·Programming the Microsoft ·VC调用java的简单例子。需要注意jvm.dll ·这是介绍在VC++6。0下如何编写GPIB程序。有 ·GPS坐标转换软件:直角坐标与大地坐标转换 ·我的一个同学写的毕业论文 希望对大家来说是有用的 ·最简单的用工作线程控制有进程条的窗口,主窗口调用后 ·VC数据库编程综合应用。订单的管理 ·vhdl,用i2c控制philips的7111和7 ·基于winsock2的网络封包截获技术,源代码清楚 -data structure, binary tree and Huffman coding. C 1. Society against DFA conversion map corresponding high-level language source of a Class C of C Library r simple firewall can be used to study, as part of the graduation class is fairly established to help achieve the ARM chip of a PWM output for controlling Motor Prog ramming the Microsoft VC called java simple example. This needs attention jvm.dll is introduced in VC 6. 0 GPIB preparation procedures. Have GPS coordinates conversion software : Cartesian coordinates of the earth and converting one of my classmates wrote the dissertation hope for all of us is the most useful simple Working with a thread control of the process window, the main window after calling VC Database Programming integrated application. Vhdl orders management, i2c co
Platform: | Size: 3072 | Author: | Hits:

[Multimedia DevelopVBuffer_1c6

Description: 视频采集并锁存到SDRAM中的完整代码,运行环境为QII,VHDL与标准参数宏模块调用混合设计 是学习视频采集的很好的参考-Video Capture SDRAM and latches to the integrity code, the operating environment for QII. VHDL standard parameter-called hybrid module is designed to study the Video Capture good reference
Platform: | Size: 4133888 | Author: 刘留 | Hits:

[VHDL-FPGA-Verilogwishbone2avalone

Description: 由avalen总线转接i2c总线的vhdl程序 可应用于nios嵌入式系统-By avalen bus adapter i2c bus VHDL program can be applied to Nios Embedded Systems
Platform: | Size: 427008 | Author: yeyoushi | Hits:

[MiddleWareopencores_i2c_master

Description: i2c VHDL,能够实现I2C 用的是wishbone总线
Platform: | Size: 193536 | Author: wang | Hits:

[VHDL-FPGA-VerilogVideoCap_RCE02

Description: 程序实现的功能是通过I2C配置SAA7113芯片,然后通过逻辑分析仪器查看芯片的输出数据 可以通过视频口输出视频 redlogic的程序-Realize the function of the procedure through the I2C configuration SAA7113 chip, and then through the logic of analytical instruments to view the output data chip can output video through the video I redlogic procedures
Platform: | Size: 166912 | Author: skyy | Hits:

[VHDL-FPGA-VerilogSource

Description: I2C总线的verilog实现,包括主模块和几个子模块,已仿真实现-Verilog I2C Bus realize, including the main module and several sub-modules have been simulation
Platform: | Size: 8192 | Author: 孙江涛 | Hits:

[VHDL-FPGA-Verilogi2c_slave

Description: I2c中通信的从机发送和接收信息的Verilog程序测试模块,用Modelsim仿真通过-I2C communication from machine to send and receive information Verilog module test procedures, using ModelSim simulation through
Platform: | Size: 5120 | Author: Tomersun | Hits:

[USB developcmos_fifo_usb

Description: cmos数据到fifo再到usb的fifo部分程序(68013a)-cmos data to fifo the fifo to the usb part of the procedures (68013a)
Platform: | Size: 158720 | Author: | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »

CodeBus www.codebus.net